算术逻辑单元(ALU)的发展史,希望有的朋友给分享一下.希望能全面一些,

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/30 00:54:12
算术逻辑单元(ALU)的发展史,希望有的朋友给分享一下.希望能全面一些,

算术逻辑单元(ALU)的发展史,希望有的朋友给分享一下.希望能全面一些,
算术逻辑单元(ALU)的发展史,希望有的朋友给分享一下.
希望能全面一些,

算术逻辑单元(ALU)的发展史,希望有的朋友给分享一下.希望能全面一些,
算术逻辑单元(arithmetic logic unit,缩写ALU)是进行整数运算的结构.现阶段是用电路来实现,应用在电脑芯片中.
在计算机中,算术逻辑单元(ALU)是专门执行算术和逻辑运算的数字电路.ALU是计算机中央处理器的最重要组成部分,甚至连最小的微处理器也包含ALU作计数功能.在现代CPU和GPU处理器中已含有功能强大和复杂的ALU;一个单一元件也可能含有ALU.
1945年数学家冯诺伊曼在一篇介绍被称为EDVAC的一种新型电脑的基础构成的报告中提出ALU的概念.
早期发展
1946年,冯诺伊曼与同事合作为普林斯顿高等学习学院(IAS)设计计算机.随后IAS计算机成为后来计算机的原形.在论文中,冯诺伊曼提出他相信计算机中所需的部件,其中包括ALU. 冯诺伊曼写到,ALU是计算机的必备组成部分,因为已确定计算机一定要完成基本的数学运算,包括加减乘除.于是他相信「(计算机)应该含有专门完成此类运算的部件.」
数字系统
ALU必须使用与数字电路其他部分使用同样的格式进行数字处理.对现代处理器而言,几乎全都使用二进制补码表示方式.早期的计算机曾使用过很多种数字系统,包括反码、符号数值码,甚至是十进制码,每一位用十个管子. 以上这每一种数字系统所对应的ALU都有不同的设计,而这也影响了当前对二进制补码的优先选择,因为二进制补码能简化ALU加法和减法的运算. 一个简单的能进行与或非和加运算的2位ALU.
可行性分析
绝大部分计算机指令都是由ALU执行的.ALU从寄存器中取出数据,数据经过处理将运算结果存入ALU输出寄存器中.其他部件负责在寄存器与内存间传送数据. 控制单元控制着ALU,通过控制电路来告诉ALU该执行什么操作.
简单运算
大部分ALU都可以完成以下运算∶
整数算术运算(加、减,有时还包括乘和除,不过成本较高)
位逻辑运算(与、或、非、异或)
移位运算(将一个字向左或向右移位或浮动特定位,而无符号延伸),移位可被认为是乘以2或除以2.
复杂运算
工程师可设计能完成任何运算的ALU,不论运算有多复杂;问题在于运算越复杂,ALU成本越高,在处理器中占用的空间越大,消耗的电能越多. 于是,工程师们经常计算一个折中的方案,提供给处理器(或其他电路)一个能使其运算高速的ALU,但同时又避免ALU设计的太复杂而价格昂贵.设想你需要计算一个数的平方根,数字工程师将评估以下的选项来完成此操作∶
设计一个极度复杂的ALU,它能够一步完成对任意数字的平方根运算.这被称为单时钟脉冲计算.
设计一个非常复杂的ALU,它能够分几步完成一个数字的平方根运算.不过,这里有个诀窍,中间结果经过一连串电路,就像是工厂里的生产线.这甚至使得ALU能够在完成前一次运算前就接受新的数字.这使得ALU能够以与单时钟脉冲同样的速度产生数字,虽然从ALU输出的结果有一个初始延迟.这被称为计算流水线.
设计一个复杂的ALU,它能够计算分几步计算一个数字的平方根.这被称为互动计算,经常依赖于带有嵌入式微码的复杂控制单元.
在处理器中设计一个简单的ALU,去掉一个昂贵的专门用于此运算的处理器,再选择以上三个选项之一.这被称为协处理器.
告诉编成人员没有协处理器和仿真设备,于是他们必须自己写出算法来用软件计算平方根.这是由软件图书馆完成的.
对协处理器进行仿真,也就是说,只要一个程序想要进行平方根的计算,就让处理器检查当前有没有协处理器.如果有的话就使用其进行计算,如果没有的话,中断程序进程并调用操作系统通过软件算法来完成平方根的计算.这被称为软件仿真.
以上给出的选项按最快和最贵到最慢和最经济排列.于是,虽然甚至是最简单的计算机也能计算最复杂的公式,但是最简单的计算机经常需要耗费大量时间,通过若干步才能完成. 强大的处理器,比如英特尔酷睿和AMD64系列对一些简单的运算采用1号选项,对最常见的复杂运算采用2号选项,对极为复杂的运算采用3号选项.这是具有在处理器中构造非常复杂的ALU的能力为前提的.
输入和输出
ALU的输入是要进行操作的数据(称为操作数)以及来自控制单元的指令代码,用来指示进行哪种运算.它的输出即为运算结果. 在许多设计中ALU也接收或发出输入或输出条件代码到(或来自)状态寄存器.这些代码用来指示一些情况,比如进位或借位、溢出、除数为零等.
ALU与FPU
浮点单元也对两个数值进行算术运算,但是这种运算已浮点数表示,比在ALU中一般使用的补码表示方式复杂的多.为了完成此类运算,FPU里嵌入了多个复杂电路,包括一些内部ALU. 工程师一般认为ALU是处理整数型(比如补码和BCD码)算术运算的的电路,而对更为复杂的格式(比如浮点型、复数型)进行计算的电路则拥有一个更加匹配的称谓.

算术逻辑单元(ALU)的发展史,希望有的朋友给分享一下.希望能全面一些, 算术逻辑单元(ALU)具体怎么理解? 算术逻辑单元(ALU)具体怎么理解? 运算器又称算术逻辑单元,简称ALU,是计算机中执行各种什么和什么的部件 数字设计方面的.verilog HDL描述.求大神赐教,仅有30积分.╮(╯▽╰)╭写出一个算术逻辑单元(ALU)的verilog HDL描述.该电路能进行两个算术运算和两个逻辑运算,且由一个2位的输入来选择操作.四 运算器又称算数逻辑单元alu,是用来进行( )和( )的部件.是计算机对信息进行加工的场所.急.求正解! 请问,a l i n u i m 7个字母可以组合成什么英文单词,不添加其他字母,mini alu 迷你算术和逻辑单元 因为算术运算类指令是在ALU中完成的,所以算术运算类指令对标志寄存器的内容有影响.---这句话是什么逻辑为什么算术运算类指令是在ALU中完成的,然后它就对标志寄存器的内容有影响了呢?难 ALU全加器的逻辑表达式什么意思?组成原理的284页那个公式,完全看不懂啊,还有那个进位公式也不懂, Alu-Extrusion是什么意思建筑方面的术语 中央算术逻辑(CALU)由几个部分构成?辅助寄存器算术单元 (ARLU)由几个部分构成 服装的演变过程(发展史)希望各位好心的人多多帮助在下,THANK YOU! 磁介质的发展史有谁知道?希望详细一点 的发展史 ALU是什么 什么是ALU 燃料的发展史燃料发展史,回答完整者,重谢!急用!希望可以有具体时间。以及发展过程 各国汽车发展史要详细的,比如说德国(发展史),英国(发展史)等等,全面点,谢谢了.